Conference article

Important Characteristics of VHDL-AMS and Modelica with Respect to Model Exchange

Olaf Enge-Rosenblatt
Fraunhofer Institute for Integrated Circuits, Design Automation Division, Dresden, Germany

Joachim Haase
Fraunhofer Institute for Integrated Circuits, Design Automation Division, Dresden, Germany

Christoph Clauß
Fraunhofer Institute for Integrated Circuits, Design Automation Division, Dresden, Germany

Download article

Published in: Proceedings of the 1st International Workshop on Equation-Based Object-Oriented Languages and Tools

Linköping Electronic Conference Proceedings 24:8, p. 89–98

Show more +

Published: 2007-07-18

ISBN: 978-91-7519-822-4

ISSN: 1650-3686 (print), 1650-3740 (online)

Abstract

Modeling and simulation have been established as fundamental facilities in the development of analog and analog-digital systems. Essential advances have been achieved by the usage of behavioral modeling languages. These languages can be considered as a link between the technical problem and the mathematical model that can be evaluated by computational methods. The paper outlines the various possibilities that are offered by the language VHDLAMS – standardized by the IEEE to describe analog and mixed-signal systems – and the language Modelica. The underlying modeling approaches are compared. Last but not least; the potential to transform models written in one language into models of the other language is discussed.

Keywords

VHDL-AMS; Modelica; model exchange

References

1. IEEE standard VHDL analog and mixed-signal extensions. IEEE DASC; December 1999 (revised May 2007). Online: http://www.designers-guide.org/Modeling

2. Modelica – A Unified Object-Oriented Language for Physical Systems Modeling. Language Specification. Version 2.2; Modelica Association; February 2005. Online:http://www.modelica.org

3. Verilog-AMS Language Reference Manual. Version 2.2. Accellera; November 2004. Online: http://www.eda.org/verilog-ams

4. Vachoux; A.; Grimm; C.; Einwich; K.: SystemC-AMS Requirements; Design Objects and Rationale. Proc. DATE ’03; Munich; March 2003; pp. 388-393. Online: http://www.systemc-ams.org

5. Nagel; L. W.; Pederson D. O: SPICE2 – Simulation program with integrated circuits emphasis. Univ. of California; ERL-Memo M520; 1975.

6. Vlach; M.: Modeling And Simulation with Saber. Proc. 3rd Annual IEEE ASIC Seminar; September 1990; pp. 17-21.

7. Brenan; K.E.; Campbell; S.L.; Petzold; L.R.: Numerical Solution of Initial-Value Problems in Differential-Algebraic Equations. North-Holland; 1989.

8. Haase; J.: Rules for Analog and Mixed-Signal VHDL-AMS Modeling. In Grimm; C. (ed.): Languages for System Specification. Kluwer Academic Publishers; 2004; pp. 169 – 182.

9. Ho; C.-W.; Ruehli; A.; Brennan; P.: The modified nodal approach to network analysis. IEEE Trans. Circ. Syst. 22(1975)6; pp. 504-509.

10. Fritzson; P.: Principles of Object-Oriented Modeling and Simulation with Modelica 2.1. IEEE Press; 2004.

11. Lallement; C.; Pecheux; F.; Vachoux; A.; Prégaldiny; F. : Compact Modeling of the MOSFET in VHDL-AMS. In Grabinski; W.; Nauwelaers; B.; Schruers; D.: Transistor Level Modeling for Analog/RF IC Design. Springer-Verlag; 2006; pp. 243-269. Online: http://lsmwww.epfl.ch/models/compact/

12. Reibiger; A.: On the terminal behavior of networks. Proc. ECTD ’85; Prague; 1985; pp. 224-227.

13. Carlin; H. J.; Youla; D. C.: Network synthesis with negative resistors. Proc. IRE 49 (1961) 5; pp. 907-920.

Citations in Crossref